クロックを作成する

33MHzのクロックから1秒のクロックを作成する。

33MHz→1F78A40→1111101111000101001000000

25ビットのカウンタの最上位をクロックとして使う。

 

VHDLソース

process (clock)

begin

if clock'event and clock '1' then

counter <= counter+1;

end if

end process;

counter <= counter(24);

 

タグ:

+ タグ編集
  • タグ:

このサイトはreCAPTCHAによって保護されており、Googleの プライバシーポリシー利用規約 が適用されます。

最終更新:2009年06月12日 16:04