D-FF

有効なクロックが入力された時に,入力Dのデータを取り込んでQへ出力する。

HDL

module rei4_1(D, CLK, Q);

   input D;

   input CLK;

   ouput Q;

   reg Q;

always @(negedge CLK)

   Q <= D;

endmodule

最終更新:2009年06月22日 21:08