マルチプレクサ・・・別名:selector 複数のデータの中から1つのデータを選択する回路

4×1マルチプレクサ

2n=mの中からnビットの選択信号線を使用して1つ出力する

 

動作表
S1 S0 F
0 0 A0
0 1 A1
1 0 A2
1 1 A3

 

HDL

module rei3_10(A, S, F);

   input [3:0] A;

   input [1:0] S;

   output F;

function MLT;

   case(S)

      2'b00: MLT = A[0];

      2'b01: MLT = A[1];

      2'b10: MLT = A[2];

      2'b11: MLT = A[3];

     default:MLT = A[3];

   endcase

end

endfunction

 

assign F MLT(S);

endmodule

 

最終更新:2009年06月22日 19:59